CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - DAC vhdl

搜索资源列表

  1. vhdl程序例子

    0下载:
  2. vhdl程序源代码,包括Combinational Logic Counters Shift Registers Memory State Machines Registers Systems ADC and DAC Arithmetic等-VHDL source code, including Combinational Logic Counters Shift Registers State Machines Registers Memory S
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:169419
    • 提供者:王力
  1. VHDL 语言例程集锦

    0下载:
  2. 包括很多有用的VHDL源代码,如下。文件为PDF格式,可以直接copy你想要的部分,然后粘贴到你自己的VHDL文件中。能帮你节省很多开发时间。 1.Combinational Logic 2.Counters 3.Shift Registers 4.Memory 5.State Machines 6.Registers 7.Systems 8.ADC and DAC 9.Arithmetic
  3. 所属分类:VHDL编程

  1. FPGA控制AD程序,ADC,DAC转换接口

    2下载:
  2. FPGA控制AD程序,ADC,DAC转换接口.rar 有限状态机控制AD采样.rar,FPGA control AD procedure
  3. 所属分类:VHDL编程

    • 发布日期:2016-12-23
    • 文件大小:269105
    • 提供者:黄群
  1. Example.rar

    0下载:
  2. Nanny Fpga开发板的正版测试程序,内含lcd1602液晶控制驱动程序,Ad9201模数转换器和AD5440数模转换器的驱动控制程序,Nanny Fpga Development Board of the Genuine test procedures, including control lcd1602 LCD driver, Ad9201 ADC and DAC AD5440 driver control procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:135328
    • 提供者:zhijun
  1. DAC.rar

    0下载:
  2. 信号发生器 控制DAC输出最高100M方波、三角波、正弦波,function generator
  3. 所属分类:SCM

    • 发布日期:2017-03-24
    • 文件大小:914453
    • 提供者:wpc
  1. Dac

    0下载:
  2. 这是一款用VHDL语言编写的对外部DA芯片的控制程序,所用DA转换芯片是TI公司的TLC5615.-This is a VHDL languages used on the external DA chip control procedures, using DA converter chip is TI
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:311677
    • 提供者:裴跃生
  1. DAC

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:330277
    • 提供者:糖欣
  1. VHDL

    0下载:
  2. DEMO2 数码管扫描显示电路/DEMO4 计数时钟 DEMO5 键盘扫描设计/DEMO6 波形发生器/DEMO7 用DAC实现电压信号检测/DEMO8 ADC电压测量/DEMO9 液晶驱动电路设计-DEMO2 digital tube display circuit scan/DEMO4 count clock scan design DEMO5 keyboard/DEMO6 Waveform Generator/DEMO7 implementation by DAC voltage si
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:736095
    • 提供者:wang
  1. ADCDAC

    0下载:
  2. ADC,DAC转换接口~耐心讲述可以学会使用原理-ADC, DAC converter interface ~ patience can be learned about the use of the principle of
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-17
    • 文件大小:171075
    • 提供者:dengaoni
  1. dac

    0下载:
  2. 用VhdL编程控制芯片实现D/A转换。-VhdL the realization of D/A conversion.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:548382
    • 提供者:欧阳清
  1. dac

    0下载:
  2. 0~5伏可调数字电压源,以5伏为基准电压,数码管显示当前电压值,使用VHDL语言实现,程序都加了注释,方便阅读。 -0 ~ 5 V digital voltage source adjustable to 5 V for the voltage reference, digital tube displays the current voltage value, the use of VHDL language, the program notes are added to facilita
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1496
    • 提供者:LX
  1. adc0809dac0832control

    0下载:
  2. adc0809和dac的共同使用(verilog代码),虽然功能简单,但内容全面,新手原创,共同学习-adc0809 and dac common use (verilog code), although the function of simple, but comprehensive, new original, the common learning
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:5952
    • 提供者:山哥
  1. 101259352dac0832_VHDL

    0下载:
  2. DAC,it is very good for some one
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:58767
    • 提供者:yexianyang
  1. dac

    0下载:
  2. Digital to Analog Converter code VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2633
    • 提供者:gfngk
  1. DAC

    0下载:
  2. DAC spartan 3e starter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1032
    • 提供者:Truong Toan
  1. spi_dac

    0下载:
  2. driver for spi DAC in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:767
    • 提供者:Hung
  1. 16-bit-A-DCa16-bit-DAC-VHDL

    0下载:
  2. 16-bit Analogue to Digital Converter&16-bit Digital to Analogue Converter VHDL source code.在modelsim下仿真通过-16-bit Analogue to Digital Converter & 16-bit Digital to Analogue Converter VHDL source code. Simulated in modelsim
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:883
    • 提供者:fangshan
  1. SPI-Master-Core-DAC-ADC-spartan

    1下载:
  2. SPI Master Core for spartan (ADC, DAC) vhdl code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1962350
    • 提供者:onur
  1. 实验21 DAC实验 - 副本

    0下载:
  2. 实现采样DAC功能,采用VHDL语言,附有源代码和整个工程。(Implement the sampling DAC function)
  3. 所属分类:单片机开发

    • 发布日期:2018-01-09
    • 文件大小:2304000
    • 提供者:glt
  1. A4_Oscilloscope_Top

    1下载:
  2. 使用DE0开发板和ADC,DAC实现简易频谱仪(Using DE0 Development Board and ADC, DAC to Realize Simple Spectrometer)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-05-13
    • 文件大小:57503744
    • 提供者:尾闪丁二皇
« 12 3 »
搜珍网 www.dssz.com